Design and Implementation of a Physically Unclonable Function on FPGA

Document Type : Original Article

Authors

عضو هیات علمی

Abstract

One of the challenges in the hardware security is withstanding cloning and hardware duplication. In fact this attack aims hardware originality so the defense mechanism should be different from common system security and algorithm protection. Applying Physically Unclonable Functions (PUFs) is one of the most effective protection methods.
Physically Unclonable Functions (PUFs) are functions that generate a set of random responses when stimulated by a set of pre-defined requests or challenges. Since these challenge-response schemes extract hidden parameters of complex physical unpredictable properties of substrate materials, such as delay of interconnections and wiring in the CMOS process and devices, they are called physically unclonable    functions. They are mainly used for electronic security purposes such as hardware verification and/or    device authentication mechanisms, protection of sensitive intellectual property (IP) on devices and         protection against insecure hardware connections and communications. PUF-based security mechanisms have some obvious advantages compared to traditional cryptography-based techniques, including more resistance against physical and side channel attacks and suitability for lightweight devices such as RFIDs.
In FPGA devices, PUFs are instantiated by exploiting the propagation delay differences of signals caused by manufacturing process variations. However, real implementation of PUFs on FPGAs is a big challenge given the fact that the resources inside FPGAs are limited, and that it is not easy to simulate the behavior of PUF using existing software tools. In addition, there are a few articles that explain details of the implementation of PUFs on FPGAs. In practice, it usually takes a long time to get a simple PUF to work both in simulations and on board.
In this work, we describe a practical realization of a ring-oscillator based PUF on Xilinx FPGAs and illustrate how such architecture is mapped into some FPGAs from this device family. Using this              architecture, we obtain a unique 10-bit code which can be used to identify a chip between many similar  devices of the same family in order to provide a reliable access control and authentication mechanism.  Simulations are carried out using a dual core computer with 2 GHz clock frequency and 4 GBytes RAM memory.
 

Keywords


 
[1] M. Roel, “Physically Unclonable Functions: Constructions,” Properties and Applications, Ph. D. thesis, Dissertation, University of KU Leuven, 2012.##
[2] H. Handschuh, S. Geert-Jan, and P. Tuyls, “Hardware Intrinsic Security from Physically Unclonable Functions,” Parts of Towards Hardware-Intrinsic Security, Springer Berlin Heidelberg, pp. 39-53, 2010.##
[3] M. Platonov, “SRAM-Based Physical Unclonable Function on an Atmel ATmega Microcontroller,” Master’s thesis, Czech Technical University in Prague, Faculty of Information Technology, 2013.##
[4] V. Van der Leest, G.-J. Schrijen, H. Handschuh, and P. Tuyls, “Hardware Intrinsic Security from D Flip-Flops,” In ACM workshop on scalable trusted computing-STC 2010, New York: ACM, pp. 53–62, 2010.##
[5] J.-L. Zhang, “A Survey on Silicon PUFs and Recent Advances in Ring Oscillator PUFs, Journal Of Computer Science and Technology,” vol. 29, no. 4, pp. 664–678, July 2014. DOI 10.1007/s11390-014-1458-1.##
[6] Y. Lao and K. Parhi, “Reconfigurable architectures for silicon physical unclonable functions,” In IEEE international conference on electro/information technology-EIT 2011, New York: IEEE, pp. 1–7, 2011.##
[7] J. Guajardo, S. S. Kumar, G. Schrijen, and P. Tuyls, “FPGA Intrinsic PUFs and Their Use for IP Protection,” CHES 2007, LNCS 4727, pp. 63–80, 2007.##
[8] N. Beckmann and M. Potkonjak, “Hardware-Based      Public-Key Cryptography with Public Physically Unclonable Functions,” In Lecture notes in computer science (LNCS), vol. 5806, International workshop on information hiding-IH 2009, Berlin: Springer, pp.           206–220, 2009.##
[9] L. Bolotny and G. Robins, “Physically Unclonable Function-Based Security and Privacy in RFID Systems,” In IEEE international conference on pervasive computing and communications-PERCOM 2007, New York: IEEE, pp. 211–220, 2007.##
[10] F. Armknecht, R. Maes, A.-R. Sadeghi, B. Sunar and P. Tuyls, “Memory leakage resilient encryption based on physically unclonable functions,” In Lecture notes in computer science (LNCS), vol. 5912, Advances in cryptology-ASIACRYPT 2009, Berlin: Springer, pp.   685–702, 2009.##
[11] Q. Chen, G. Csaba, and P. Lugli, U. Schlichtmann, and U. Ruhrmair, “The bistable ring PUF: a new architecture for strong physical unclonable functions,” In IEEE international symposium on hardware-oriented security and trust-HOST 2011, New York: IEEE, pp. 134–141, 2011.##
[12] A. Maiti and P. Schaumont, “Improved ring oscillator PUF: an FPGA-friendly secure primitive,” Journal of Cryptology, vol. 24, pp. 375–397, 2011.##
[13] A. Maiti and P. Schaumont, “Improving the quality of a physical unclonable function using configurable ring oscillators,” In International conference on field programmable logic and applications-FPL 2009, New York: IEEE, pp. 703–707, 2009.##
[14] A. Maiti, J. Casarona, L. McHale, and P. Schaumont, “A large scale characterization of RO-PUF,” In IEEE international symposium on hardware-oriented security and trust-HOST 2010, New York: IEEE, pp. 94–99, 2010.##
[15] J. Guajardo, et al, “Brand and IP protection with physical unclonable functions,” 2008 IEEE International Symposium on Circuits and Systems, IEEE, 2008.##
[16] J. W. Lee, D. Lim, B. Gassend, G. E. Suh, M. Van Dijk, and S. Devadas, “A technique to build a secret key in integrated circuits for identification and authentication applications,” In Proc. Symposium on VLSI Circuits, Digest of Technical Papers, pp.176-179, Jun. 2004.##
[17] D. Lim, J. Lee, B. Gassend, G. E. Suh, M. van Dijk, and S. Devadas, “Extracting secret keys from integrated circuits,” IEEE Trans. Very Large Scale Integr. Syst., vol. 13, no. 10, pp.1200-1205, 2005.##
[18] S. Kardas, M. Akgun, M. S. Kiraz, and  H. Demirci, “Cryptanalysis of lightweight mutual authentication and ownership transfer for RFID systems,” In Workshop on lightweight security and privacy: devices, protocols, and applications-LightSec 2011, NewYork: IEEE, pp. 20-25 2011.##
[19] H. Handschuh, G.-J. Schrijen, and P.Tuyls, “Hardware Intrinsic Security from Physically Unclonable Functions,” A.-R. Sadeghi, D. Naccache (eds.), Towards Hardware-Intrinsic Security, Information Security and Cryptography, DOI 10.1007/978-3-642-14452-3_2, pp. 39-53, 2011.##
[20] R. Maes, A.Van Herrewege, and I. Verbauwhede,                    “ PUFKY: a fully functional PUF-based cryptographic key generator,” In Lecture notes in computer science (LNCS):, Workshop on cryptographic hardware and embedded systems-CHES 2012, Berlin: Springer, vol. 7428, 2012.##
[21] C. Brzuska, M. Fischlin, H. Schröder, and S. Katzenbeisser, “Physically uncloneable functions in the universal composition framework,” In Lecture notes in computer science (LNCS), Advances in cryptology-CRYPTO 2011, Berlin: Springer, vol. 6841, pp. 51–70, 2011.##
[22] E. Barker and J. Kelsey, “Recommendation for random number generation using deterministic random bit generators,” NIST special publication 800-90A, 2012.   http://csrc.nist.gov/publications/nistpubs/800-0A/SP800-90A.pdf.##
[23] G. Swetha, “Temperature variation effects on asynchronous PUF design using FPGAs,” Phd Thesis, University of Toledo, 2014.                                            http://utdr-toledo.edu/theses-dissersions.##
[24] R. Tauhidur, et al., “ARO-PUF: An aging-resistant ring oscillator PUF design,” Proceedings of the
conference on Design, Automation & Test in Europe, European Design and Automation Association, 2014.##
[25] S. Mueelich and M. Bossert, “A New Error Correction Scheme for Physical Unclonable Functions,” IEEE SCC 2017, Hamburg, Germany, 6-9 Feb. 2017.##
[26] F. Ganji, S. Tajik, and J.-P. Seifert, “Fourier Analysis Based Attack against Physically Unclonable Functions,” https://eprint.iacr.org/2017/551.pdf##
[27] T. A. Soroceanu, “Security Analysis of Strong Physical Unclonable Functions,” MSc Thesis, Berlin, 2017.##
[28] S. Tajik, “On the physical security of physically unclonable functions,” MSc thesis, TU Berlin, 2017.##